I am trying to simulate my design in EDA Playground. I tested my design file and testbench file in my local computer using ModelSim (not from EDA), and it was successful. However, I tried to do the same with EDA Playground. It is successfully compiled and run without EPWave.
When I try click the 'Open EPWave' option, it gives me an error called
No *.vcd file found. EPWave will not open. Did you use '$dumpfile("dump.vcd"); $dumpvars;'?
How can I solve this issue?
Here is the link to my design https://www.edaplayground.com/x/A9Rb
This is the world's most helpful error message; it tells you what code to write. Basically, Verilog simulators need you to
$dumpfile("dump.vcd");
does;$dumpvars;
does (store eveything, in this
case).You need to add both these lines of code to the beginning of an initial block, either a completely separate one or an existing one, eg line 21:
initial begin
$dumpfile("dump.vcd"); $dumpvars;
//ADDITION
ALU_CONTROL = 5'b00100;