scalaubuntufpgachiselrocket-chip

sbt test does not work and all the tests fail


I have installed rocket-chip and it needs chisel to compile i have also downloaded chisel , but when i run sbt test all the tests fail, am i doing something wrong . is there an alternative for sbt test command

https://github.com/chipsalliance/rocket-chip -- for rocket chip

https://github.com/chipsalliance/chisel -- for chisel

[info] *** 143 SUITES ABORTED ***
[info] *** 46 TESTS FAILED ***
[error] Failed tests:
[error]     chiselTests.interface.ParametricInterfaceSpec
[error]     chiselTests.simulator.EphemeralSimulatorSpec
[error]     chiselTests.AnnotationNoDedup
[error]     chiselTests.interface.InterfaceSpec
[error]     chiselTests.interface.TappedInterfaceSpec
[error]     chiselTests.util.BitSetSpec
[error]     chiselTests.ExtModuleImplSpec
[error]     circtTests.stage.ChiselStageSpec
[error]     chiselTests.BlackBoxImplSpec
[error]     circtTests.ConventionSpec
[error]     chiselTests.LoadMemoryFromFileSpec
[error]     chiselTests.simulator.SimulatorSpec
[error] Error during tests:
[error]     chiselTests.AdderTreeSpec
[error]     chiselTests.ModuleSpec
[error]     chiselTests.DontTouchSpec
[error]     chiselTests.experimental.DataViewTargetSpec
[error]     chiselTests.experimental.DataViewSpec
[error]     chiselTests.MuxSpec
[error]     chiselTests.WireDefaultWidthSpec
[error]     chiselTests.InstanceNameSpec
[error]     chiselTests.EnumSpec
[error]     chiselTests.BundleToUIntSpec
[error]     chiselTests.WarningSpec
[error]     chiselTests.RiscSpec
[error]     chiselTests.CounterSpec
[error]     chiselTests.experimental.DataViewIntegrationSpec
[error]     chiselTests.PrintfSpec
[error]     chiselTests.VecLiteralSpec
[error]     chiselTests.SourceLocatorSpec
[error]     chiselTests.IOCompatibilitySpec
[error]     chiselTests.SRAMSpec
[error]     chiselTests.ProbeSpec
[error]     chiselTests.IllegalAssignSpec
[error]     chiselTests.ConnectableSpec
[error]     chiselTests.GCDSpec
[error]     chiselTests.EnableShiftRegisterSpec
[error]     chiselTests.MuxLookupEnumSpec
[error]     chiselTests.MultiAssignSpec
[error]     examples.VendingMachineGeneratorSpec
[error]     chiselTests.RebindingSpec
[error]     chiselTests.VecToTargetSpec
[error]     chiselTests.AutoClonetypeSpec
[error]     chiselTests.ShiftRegistersSpec
[error]     cookbook.UInt2BundleSpec
[error]     chiselTests.ReduceTreeBalancedSpec
[error]     chiselTests.properties.ClassSpec
[error]     chiselTests.MixedVecSpec
[error]     chiselTests.DataEqualitySpec
[error]     chiselTests.ChiselTestUtilitiesSpec
[error]     chiselTests.RegWidthSpec
[error]     chiselTests.AssertSpec
[error]     chiselTests.StopSpec
[error]     cookbook.RegOfVecSpec
[error]     chiselTests.IntrinsicModuleSpec
[error]     chiselTests.PadderSpec
[error]     chiselTests.HarnessSpec
[error]     chiselTests.experimental.hierarchy.InstanceSpec
[error]     chiselTests.StackSpec
[error]     chiselTests.ConnectSpec
[error]     chiselTests.ChiselEnumSpec
[error]     chiselTests.aop.SelectSpec
[error]     chiselTests.TypeAliasSpec
[error]     chiselTests.PopCountSpec
[error]     chiselTests.DataPrintSpec
[error]     chiselTests.RegSpec
[error]     chiselTests.util.experimental.DecoderTableSpec
[error]     chiselTests.CloneModuleSpec
[error]     chiselTests.BitwiseOpsSpec
[error]     chiselTests.experimental.AutoTypenameSpec
[error]     chiselTests.ShiftRegisterSpec
[error]     chiselTests.util.CatSpec
[error]     chiselTests.RecordSpec
[error]     chiselTests.ComplexAssignSpec
[error]     chiselTests.WireWidthSpec
[error]     chiselTests.experimental.hierarchy.DefinitionSpec
[error]     chiselTests.LazyCloneSpec
[error]     chiselTests.MulLookupSpec
[error]     chiselTests.DirectionSpec
[error]     chiselTests.TblSpec
[error]     chiselTests.OptionBundleSpec
[error]     cookbook.UInt2VecOfBoolSpec
[error]     chiselTests.OneHotMuxSpec
[error]     chiselTests.naming.TypenameSpec
[error]     chiselTests.experimental.ProgrammaticPortsSpec
[error]     chiselTests.experimental.hierarchy.InstantiateSpec
[error]     chiselTests.naming.IdentifierProposerSpec
[error]     chiselTests.WireSpec
[error]     cookbook.VecOfBool2UIntSpec
[error]     chiselTests.ToTargetSpec
[error]     chisel3.internal.IdentifierSpec
[error]     chiselTests.properties.ObjectSpec
[error]     chiselTests.reflect.DataMirrorSpec
[error]     chiselTests.BlackBoxSpec
[error]     chiselTests.ResetSpec
[error]     chiselTests.WidthSpec
[error]     chiselTests.TraceSpec
[error]     chiselTests.properties.PropertySpec
[error]     chiselTests.experimental.OpaqueTypeSpec
[error]     chiselTests.AutoNestedCloneSpec
[error]     chiselTests.AsyncResetSpec
[error]     chiselTests.util.PriorityMuxSpec
[error]     chiselTests.DecoderSpec
[error]     chiselTests.naming.PrefixSpec
[error]     chiselTests.IllegalRefSpec
[error]     chiselTests.ForceNamesSpec
[error]     chiselTests.MemorySpec
[error]     chiselTests.UIntOpsSpec
[error]     chiselTests.util.PipeSpec
[error]     chiselTests.experimental.FlatIOSpec
[error]     chiselTests.BulkConnectSpec
[error]     cookbook.FSMSpec
[error]     chiselTests.AnalogIntegrationSpec
[error]     chiselTests.BundleLiteralSpec
[error]     chiselTests.aop.InjectionSpec
[error]     chiselTests.naming.NamePluginSpec
[error]     chiselTests.LiteralExtractorSpec
[error]     chiselTests.ConstSpec
[error]     chiselTests.BoringUtilsSpec
[error]     chiselTests.ExtModuleSpec
[error]     chiselTests.IntegerMathSpec
[error]     chiselTests.DecoupledSpec
[error]     chiselTests.WhenSpec
[error]     chiselTests.util.random.PRNGSpec
[error]     cookbook.Bundle2UIntSpec
[error]     chiselTests.experimental.SerializableModuleGeneratorSpec
[error]     examples.SimpleVendingMachineSpec
[error]     chiselTests.MultiClockSpec
[error]     chiselTests.RegInitWidthSpec
[error]     chiselTests.InvalidateAPISpec
[error]     chiselTests.VerificationSpec
[error]     chiselTests.AsTypeOfSpec
[error]     chiselTests.NameCollisionSpec
[error]     chiselTests.BetterNamingTests
[error]     chiselTests.TesterDriverSpec
[error]     chiselTests.ImplicitConversionsSpec
[error]     chiselTests.VectorPacketIOUnitTesterSpec
[error]     chiselTests.BundleSpec
[error]     chiselTests.MemorySearchSpec
[error]     examples.ImplicitStateVendingMachineSpec
[error]     chiselTests.Math
[error]     chiselTests.DedupSpec
[error]     chiselTests.experimental.TupleSpec
[error]     chiselTests.AnalogSpec
[error]     chiselTests.BundleWireSpec
[error]     chiselTests.experimental.ModuleDataProductSpec
[error]     chiselTests.ParameterizedModuleSpec
[error]     chiselTests.MuxLookupExhaustiveSpec
[error]     chiselTests.BoringUtilsTapSpec
[error]     chiselTests.ClockSpec
[error]     chiselTests.VecSpec
[error]     chiselTests.SwitchSpec
[error]     chiselTests.experimental.hierarchy.SeparateElaborationSpec
[error]     chiselTests.PortSpec
[error]     chiselTests.SIntOpsSpec
[error]     chiselTests.RawModuleSpec
[error] (svsim / Test / test) sbt.TestsFailedException: Tests unsuccessful
[error] (Test / test) sbt.TestsFailedException: Tests unsuccessful
[error] Total time: 7 s, completed Sep 15, 2023, 6:31:28 PM

sbt test command fails


Solution

  • From Compiling and Testing Chisel:

    In order to run the following unit tests, you will need several tools on your PATH, namely firtool, verilator, yosys, and espresso. Check that each is installed on your PATH by running which verilator and so on.

    If the compilation succeeded and the dependencies noted above are installed, you can then run the included unit tests by invoking:

    sbt test
    

    I guess you did not install (all of) those tools.