verilogiveriloggtkwave

How to display values of "parameters" and "localparaters" in gtkwave iverilog simulation?


Gtkwave is displaying all the registers and signal values in the simulation using iverilog, but I can not find a way to display the parameters and localparameter values. Any advice?


Solution

  • iverilog VCD(Value Change Dump) currently only supports signals that change change values. This is being worked on as enhancement to masquerade parameters as signals at time 0. https://github.com/steveicarus/iverilog/pull/714